Apple will adopt TSMC’s 2nm process as soon as 2026

Recently, Apple unveiled its iPhone 15 series of smartphones. Among them, the iPhone 15 Pro and iPhone 15 Pro Max models are equipped with the novel A17 Pro chip. This represents Apple’s inaugural venture into utilizing a 3nm manufacturing process, with TSMC overseeing its fabrication. It’s also a pioneering instance of a 3nm chip within its category in the industry.

It’s widely acknowledged that Apple stands as TSMC’s most significant client, contributing to roughly a quarter of the latter’s revenue. Apple has consistently been at the forefront in adopting TSMC’s most avant-garde semiconductor manufacturing processes. This ensures they are accorded priority in production allocation, establishing a dominant stance in industry competition. As per Wccftech, Apple’s A18 Pro and A19 Pro are set to leverage varying versions of TSMC’s 3nm process. Only by the A20 Pro, potentially debuting in 2026, is a transition to the 2nm process envisioned. This will mark Apple’s maiden SoC exploiting the 2nm process, presupposing the continued use of the “Pro” suffix.

A17 Bionic CPU

Last year, TSMC’s president, Dr. Wei, intimated that the N2 process node would utilize Gate-all-around FETs (GAAFET) transistors as anticipated. The manufacturing process will continue to hinge on the extant Extreme Ultraviolet (EUV) lithography technique. It’s projected that by the end of 2024, preparations for risk production will be finalized, transitioning to mass production by the end of 2025. Consequently, clients can anticipate the inaugural batch of 2nm chips in 2026.

Reports suggest that TSMC’s 2nm foundry pricing approaches $25,000, marking an approximate 25% escalation compared to the prevailing 3nm foundry cost. Apple, poised to be the first client of this novel process, might see a commensurate surge in iPhone pricing. Rumor has it that before the shift to the 2nm process, Apple will sequentially adopt the N3E, N3P, and N3X nodes within the 3nm process.

NVIDIA might possibly follow in Apple’s footsteps, utilizing TSMC’s 2nm process for their next-generation AI chips by 2026. Currently, TSMC, Apple, and NVIDIA have all invested in Arm, a strategic move likely to reinforce TSMC’s collaborations with both Apple and NVIDIA, ensuring a steady influx of 2nm orders.