TSMC may not adopt High-NA EUV lithography machines until 2030

At the close of last year, ASML delivered to Intel the industry’s inaugural High-NA EUV lithography machine. This system, characterized by a high numerical aperture (High-NA) and the capability to produce over 200 wafers per hour using extreme ultraviolet (EUV) light, boasts a numerical aperture of 0.55. Compared to previous EUV systems equipped with a 0.33 numerical aperture lens, this enhancement in precision facilitates the creation of higher-resolution patterning, enabling the fabrication of smaller transistor features.

Intel plans to integrate High-NA EUV lithography technology into its Intel 18A process node, which implies the initiation of new equipment usage between 2026 and 2027. Both TSMC and Samsung have announced their intentions to procure High-NA EUV lithography machines for the development of future semiconductor processes, though they have not specified any timelines.

According to DigiTimes, sources from wafer fabrication tool manufacturers have confirmed that TSMC intends to adopt the High-NA EUV lithography machine at the 1nm process node, likely due to cost considerations. As per TSMC’s previously disclosed roadmap, the launch of the 1.4nm-level A14 process is anticipated between 2027 and 2028, while the development of the 1nm-level A10 process is expected to be completed by 2030.

ASML’s Chief Financial Officer, Roger Dassen, stated in an interview that High-NA EUV lithography machines could circumvent the complexity associated with double or quadruple exposures, offering the most cost-effective solution for logic and memory chips. It appears that not all chip manufacturers are as eager as Intel to employ High-NA EUV lithography machines for mass production of chips, as it ostensibly reduces overall costs, even though existing EUV lithography machines can achieve the same effects with double imaging techniques.

Given that plans may change based on the performance of existing technologies and other market factors, TSMC may eventually alter the timeline for introducing High-NA EUV lithography technology.