EUV Powerhouse Rising: Samsung & ASML Ink Deal to Fuel Chip Tech in Korea

In recent years, ASML has emerged as a central figure in the world of semiconductor technology. Currently, ASML is methodically advancing its roadmap, with High-NA EUV technology succeeding EUV. The company is preparing to deliver the first High-NA EUV lithography machine to its clients, anticipated within the coming months.

Several weeks ago, the chairman of Samsung Electronics visited the Netherlands to discuss semiconductor ventures with ASML. As reported by Sammobile, Samsung has recently signed an agreement with ASML, valued at 1 trillion Korean Won (approximately 770 million USD). The deal involves investing in the construction of a semiconductor chip research facility in Dongtan, Gyeonggi-do, South Korea, where both parties will collaboratively enhance EUV lithography manufacturing technology.

This agreement grants Samsung priority access to High-NA EUV lithography equipment technology, aiding in securing the next-generation High-NA EUV lithography machines. This acquisition offers Samsung an optimized opportunity to use High-NA EUV technology for the production of its DRAM storage chips and logic chips. Beyond ensuring the entry of 2nm chip manufacturing equipment into South Korea, Samsung highly values its partnership with ASML to better leverage next-generation lithography equipment.

The High-NA EUV system offers a numerical aperture of 0.55, promising greater precision compared to the previous EUV systems equipped with a 0.33 numerical aperture lens. This advancement enables higher resolution patterning to achieve smaller transistor features while maintaining the capacity to produce over 200 wafers per hour. Intel has previously announced the purchase of the industry’s first TWINSCAN EXE:5200 system, planning to commence production using High-NA EUV in 2025.

ASML intends to manufacture 10 High-NA EUV systems next year, of which Intel has already purchased six. Reports suggest that TSMC plans to introduce the High-NA EUV system in 2024, preparing for the mass production of its 2nm process by the end of 2025. ASML aims to increase the annual production of High-NA EUV systems to 20 units over the next few years.