Samsung begins mass production of the world’s first 3nm GAA process

Samsung announced that its Hwaseong factory in South Korea has started producing 3nm chips. This is the most advanced technology in the current semiconductor manufacturing process, and Samsung has become the only foundry company in the world to provide 3nm process foundry services using the next-generation new GAA (Gate-All-Around) architecture transistor technology.

Samsung 3nm GAA process
Samsung’s 3nm GAA process is initially aimed at high-performance computing (HPC) SoCs and will be extended to mobile SoCs later. Compared with the original 5nm process using FinFET, Samsung said, the first-generation 3nm GAA process node has various improvements in power, performance, and area (PPA), with a 16% reduction in area, a 23% increase in performance, and a 45% reduction in power consumption. In the second generation of 3nm chips, the area is reduced by 35%, the performance is increased by 30%, and the power consumption is reduced by 50%.
Samsung has grown rapidly as we continue to demonstrate leadership in applying next-generation technologies to manufacturing, such as foundry industry’s first High-K Metal Gate, FinFET, as well as EUV. We seek to continue this leadership with the world’s first 3nm process with the MBCFET,” said Dr. Siyoung Choi, President and Head of Foundry Business at Samsung Electronics. “We will continue active innovation in competitive technology development and build processes that help expedite achieving maturity of technology.

Since the third quarter of 2021, Samsung Electronics has been providing a mature design infrastructure through close collaboration with partners in the Samsung Advanced Foundry Ecosystem (SAFE) including Ansys, Cadence, Siemens, and Synopsys to help customers perfect their products in a reduced period of time.